update for HEAD-2003021201
[reactos.git] / lib / crtdll / stdlib / ecvtbuf.c
index e391e20..1d0f65f 100644 (file)
@@ -1,10 +1,10 @@
 /* Copyright (C) 1998 DJ Delorie, see COPYING.DJ for details */
-#include <crtdll/stdlib.h>
-#include <crtdll/stdio.h>
-#include <crtdll/string.h>
-#include <crtdll/float.h>
-#include <crtdll/alloc.h>
-// #include <crtdll/locale.h>
+#include <msvcrt/stdlib.h>
+#include <msvcrt/stdio.h>
+#include <msvcrt/string.h>
+#include <msvcrt/float.h>
+#include <msvcrt/alloc.h>
+// #include <msvcrt/locale.h>
 
 void __ecvround (char *, char *, const char *, int *);