branch update for HEAD-2003091401
[reactos.git] / lib / packet / .cvsignore
index bbdfcbf..f034084 100644 (file)
@@ -1,6 +1,3 @@
-packet.coff
-packet.dll
-packet.nostrip.dll
 *.sys
 *.exe
 *.dll
@@ -17,3 +14,5 @@ packet.nostrip.dll
 *.sym
 *.plg
 *.bak
+*.map
+*.tmp